Test suite results for test file tbs/tb0031.pp

Test run data :

Run ID:
Operating system: aix
Processor: powerpc
Version: 3.2.3
Fails/OK/Total: 47/7026/7073
Version: 3.2.3
Full version: 3.2.3-1397-g22da36f83e
Comment: -Fd
Machine: power-aix
Category: 1
SVN revisions: 7e2c3b39ec:ec9f7c84b4:22da36f83e:e444e271f4
Submitter: pierre
Date: 2024/10/19 07:51:00 <> 2024/07/30
Previous run: 1026773
Next run:

Hide skipped tests

Hide successful tests

Test file "tbs/tb0031.pp" information:

t_id 291
t_adddate 2003/10/03
t_result 0
t_knownrunerror 0

Detailed test run results:

tr_idruntr_oktr_skiptr_result
10222094561027293TrueFalseSuccessfully run

Record count: 1

No log of 1027293.

Source:

{ Old file: tbs0035.pp }
{  label at end of block gives error                   OK 0.9.9 (FK) }

{$goto on}

label   hallo;

begin
   writeln('Hello');
  begin
hallo:          {Error message: Incorrect expression.}
  end;
  writeln('Hello again');
end.

Link to SVN view of tbs/tb0031.pp source.